Subthreshold Sram Design For Energy Efficient Applications In Nanometric Cmos Technologies PDF Download

Are you looking for read ebook online? Search for your book and save it on your Kindle device, PC, phones or tablets. Download Subthreshold Sram Design For Energy Efficient Applications In Nanometric Cmos Technologies PDF full book. Access full book title Subthreshold Sram Design For Energy Efficient Applications In Nanometric Cmos Technologies.

Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies

Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies
Author: Morteza Nabavi
Publisher:
Total Pages: 92
Release: 2018
Genre: Integrated circuits
ISBN:

Download Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies Book in PDF, ePub and Kindle

Embedded SRAM circuits are vital components in a modern system on chip (SOC) that can occupy up to 90% of the total area. Therefore, SRAM circuits heavily affect SOC performance, reliability, and yield. In addition, most of the SRAM bitcells are in standby mode and significantly contribute to the total leakage current and leakage power consumption. The aggressive demand in portable devices and billions of connected sensor networks requires long battery life. Therefore, careful design of SRAM circuits with minimal power consumption is in high demand. Reducing the power consumption is mainly achieved by reducing the power supply voltage in the idle mode. However, simply reducing the supply voltage imposes practical limitations on SRAM circuits such as reduced static noise margin, poor write margin, reduced number of cells per bitline, and reduced bitline sensing margin that might cause read/write failures. In addition, the SRAM bitcell has contradictory requirements for read stability and writability. Improving the read stability can cause difficulties in a write operation or vice versa. In this thesis, various techniques for designing subthreshold energy-efficient SRAM circuits are proposed. The proposed techniques include improvement in read margin and write margin, speed improvement, energy consumption reduction, new bitcell architecture and utilizing programmable wordline boosting. A programmable wordline boosting technique is exploited on a conventional 6T SRAM bitcell to improve the operational speed. In addition, wordline boosting can reduce the supply voltage while maintaining the operational frequency. The reduction of the supply voltage allows the memory macro to operate with reduced power consumption. To verify the design, a 16-kb SRAM was fabricated using the TSMC 65 nm CMOS technology. Measurement results show that the maximum operational frequency increases up to 33.3% when wordline boosting is applied. Besides, the supply voltage can be reduced while maintaining the same frequency. This allows reducing the energy consumption to be reduced by 22.2%. The minimum energy consumption achieved is 0.536 fJ/b at 400 mV. Moreover, to improve the read margin, a 6T bitcell SRAM with a PMOS access transistor is proposed. Utilizing a PMOS access transistor results in lower zero level degradation, and hence higher read stability. In addition, the access transistor connected to the internal node holding V DD acts as a stabilizer and counterbalances the effect of zero level degradation. In order to improve the writability, wordline boosting is exploited. Wordline boosting also helps to compensate for the lower speed of the PMOS access transistor compared to a NMOS transistor. To verify our design, a 2kb SRAM is fabricated in the TSMC 65 nm CMOS technology. Measurement results show that the maximum operating frequency of the test chip is at 3.34 MHz at 290 mV. The minimum energy consumption is measured as 1.1 fJ/b at 400 mV.


Energy Efficient and Reliable Embedded Nanoscale SRAM Design

Energy Efficient and Reliable Embedded Nanoscale SRAM Design
Author: Bhupendra Singh Reniwal
Publisher: CRC Press
Total Pages: 221
Release: 2023-11-29
Genre: Technology & Engineering
ISBN: 100098513X

Download Energy Efficient and Reliable Embedded Nanoscale SRAM Design Book in PDF, ePub and Kindle

This reference text covers a wide spectrum for designing robust embedded memory and peripheral circuitry. It will serve as a useful text for senior undergraduate and graduate students and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. Discusses low-power design methodologies for static random-access memory (SRAM) Covers radiation-hardened SRAM design for aerospace applications Focuses on various reliability issues that are faced by submicron technologies Exhibits more stable memory topologies Nanoscale technologies unveiled significant challenges to the design of energy- efficient and reliable SRAMs. This reference text investigates the impact of process variation, leakage, aging, soft errors and related reliability issues in embedded memory and periphery circuitry. The text adopts a unique way to explain the SRAM bitcell, array design, and analysis of its design parameters to meet the sub-nano-regime challenges for complementary metal-oxide semiconductor devices. It comprehensively covers low- power-design methodologies for SRAM, exhibits more stable memory topologies, and radiation-hardened SRAM design for aerospace applications. Every chapter includes a glossary, highlights, a question bank, and problems. The text will serve as a useful text for senior undergraduate students, graduate students, and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. Discussing comprehensive studies of variability-induced failure mechanism in sense amplifiers and power, delay, and read yield trade-offs, this reference text will serve as a useful text for senior undergraduate, graduate students, and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. It covers the development of robust SRAMs, well suited for low-power multi-core processors for wireless sensors node, battery-operated portable devices, personal health care assistants, and smart Internet of Things applications.


Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits

Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits
Author: Nele Reynders
Publisher: Springer
Total Pages: 207
Release: 2015-04-14
Genre: Technology & Engineering
ISBN: 3319161369

Download Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits Book in PDF, ePub and Kindle

This book focuses on increasing the energy-efficiency of electronic devices so that portable applications can have a longer stand-alone time on the same battery. The authors explain the energy-efficiency benefits that ultra-low-voltage circuits provide and provide answers to tackle the challenges which ultra-low-voltage operation poses. An innovative design methodology is presented, verified, and validated by four prototypes in advanced CMOS technologies. These prototypes are shown to achieve high energy-efficiency through their successful functionality at ultra-low supply voltages.


Energy Efficient and Reliable Embedded Nanoscale SRAM Design

Energy Efficient and Reliable Embedded Nanoscale SRAM Design
Author: Bhupendra Singh Reniwal
Publisher: CRC Press
Total Pages: 213
Release: 2023-11-30
Genre: Technology & Engineering
ISBN: 1000985156

Download Energy Efficient and Reliable Embedded Nanoscale SRAM Design Book in PDF, ePub and Kindle

This reference text covers a wide spectrum for designing robust embedded memory and peripheral circuitry. It will serve as a useful text for senior undergraduate and graduate students and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. Discusses low-power design methodologies for static random-access memory (SRAM) Covers radiation-hardened SRAM design for aerospace applications Focuses on various reliability issues that are faced by submicron technologies Exhibits more stable memory topologies Nanoscale technologies unveiled significant challenges to the design of energy- efficient and reliable SRAMs. This reference text investigates the impact of process variation, leakage, aging, soft errors and related reliability issues in embedded memory and periphery circuitry. The text adopts a unique way to explain the SRAM bitcell, array design, and analysis of its design parameters to meet the sub-nano-regime challenges for complementary metal-oxide semiconductor devices. It comprehensively covers low- power-design methodologies for SRAM, exhibits more stable memory topologies, and radiation-hardened SRAM design for aerospace applications. Every chapter includes a glossary, highlights, a question bank, and problems. The text will serve as a useful text for senior undergraduate students, graduate students, and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. Discussing comprehensive studies of variability-induced failure mechanism in sense amplifiers and power, delay, and read yield trade-offs, this reference text will serve as a useful text for senior undergraduate, graduate students, and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. It covers the development of robust SRAMs, well suited for low-power multi-core processors for wireless sensors node, battery-operated portable devices, personal health care assistants, and smart Internet of Things applications.


Low-power and Application-specific SRAM Design for Energy-efficient Motion Estimation

Low-power and Application-specific SRAM Design for Energy-efficient Motion Estimation
Author: Mahmut Ersin Sinangil
Publisher:
Total Pages: 189
Release: 2012
Genre:
ISBN:

Download Low-power and Application-specific SRAM Design for Energy-efficient Motion Estimation Book in PDF, ePub and Kindle

Video content is expected to account for 70% of total mobile data traffic in 2015. High efficiency video coding, in this context, is crucial for lowering the transmission and storage costs for portable electronics. However, modern video coding standards impose a large hardware complexity. Hence, energy-efficiency of these hardware blocks is becoming more critical than ever before for mobile devices. SRAMs are critical components in almost all SoCs affecting the overall energy-efficiency. This thesis focuses on algorithm and architecture development as well as low-power and application-specific SRAM design targeting motion estimation. First, a motion estimation design is considered for the next generation video standard, HEVC. Hardware cost and coding efficiency trade-offs are quantified and an optimum design choice between hardware complexity and coding efficiency is proposed. Hardware-efficient search algorithm, shared search range across CU engines and pixel pre-fetching algorithms provide 4.3x area, 56x on-chip bandwidth and 151 x off-chip bandwidth reduction. Second, a highly-parallel motion estimation design targeting ultra-low voltage operation and supporting AVC/H.264 and VC-1 standards are considered. Hardware reconfigurability along with frame and macro-block parallel processing are implemented for this engine to maximize hardware sharing between multiple standards and to meet throughput constraints. Third, in the context of low-power SRAMs, a 6T and an 8T SRAM are designed in 28nm and 45nm CMOS technologies targeting low voltage operation. The 6T design achieves operation down to 0.6V and the 8T design achieves operation down to 0.5V providing ~ 2.8x and ~ 4.8x reduction in energy/access respectively. Finally, an application-specific SRAM design targeted for motion estimation is developed. Utilizing the correlation of pixel data to reduce bit-line switching activity, this SRAM achieves up to 1.9x energy savings compared to a similar conventional 8T design. These savings demonstrate that application-specific SRAM design can introduce a new dimension and can be combined with voltage scaling to maximize energy-efficiency.


Energy-efficient Static Random Access Memories Design in 28 Nanometer Fully Depleted Silicon On Insulator Technology

Energy-efficient Static Random Access Memories Design in 28 Nanometer Fully Depleted Silicon On Insulator Technology
Author: Avishek Biswas (S.M.)
Publisher:
Total Pages: 81
Release: 2014
Genre:
ISBN:

Download Energy-efficient Static Random Access Memories Design in 28 Nanometer Fully Depleted Silicon On Insulator Technology Book in PDF, ePub and Kindle

As CMOS scaling continues to sub-32nm regime, the effects of device variations become more prominent. This is very critical in SRAMs, which use very small transistor dimensions to achieve high memory density. The conventional 6T SRAM bit-cell, which provides the smallest cell-area, fails to operate at lower supply voltages (Vdd). This is due to the significant degradation of functional margins as the supply voltage is scaled down. However, Vdd scaling is crucial in reducing the energy consumption of SRAMs, which is a significant portion of the overall energy consumption in modern micro-processors. Energy savings in SRAM is particularly important for batteryoperated applications, which run from a very constrained power-budget. This thesis focuses on energy-efficient 6T SRAM design in a 28nm FDSOI technology. Significant savings in energy/access of the SRAM is achieved using two techniques: Vdd scaling and data prediction. A 200mV improvement in the minimum SRAM operating voltage (Vdd,min) is achieved by using dynamic forward body-biasing (FBB) on the NMOS devices of the bit-cell. The overhead of dynamic FBB is reduced by implementing it row-wise. Layout modifications are proposed to share the body terminals (n-wells) horizontally, along a row. Further savings in energy/access is achieved by incoporating data-prediction in the 6T read path, which reduces bitline switching. The proposed techniques are implemented for a 128Kb 6T SRAM, designed in a 28nm FDSOI technology. This thesis also presents a reconfigurable fully-integrated switched-capacitor based step-up DC-DC converter, which can be used to generate the body-bias voltage for a SRAM. 3 reconfigurable conversion ratios of 5/2, 2/1 and 3/2 are implemented in the converter. It provides a wide range of output voltage, 1.2V-2.4V, from a fixed input of 1V. The converter achieves a peak efficiency of 88%, using only on-chip MOS and MOM capacitors, for a high density implementation.


Low-Power Variation-Tolerant Design in Nanometer Silicon

Low-Power Variation-Tolerant Design in Nanometer Silicon
Author: Swarup Bhunia
Publisher: Springer Science & Business Media
Total Pages: 444
Release: 2010-11-10
Genre: Technology & Engineering
ISBN: 1441974180

Download Low-Power Variation-Tolerant Design in Nanometer Silicon Book in PDF, ePub and Kindle

Design considerations for low-power operations and robustness with respect to variations typically impose contradictory requirements. Low-power design techniques such as voltage scaling, dual-threshold assignment and gate sizing can have large negative impact on parametric yield under process variations. This book focuses on circuit/architectural design techniques for achieving low power operation under parameter variations. We consider both logic and memory design aspects and cover modeling and analysis, as well as design methodology to achieve simultaneously low power and variation tolerance, while minimizing design overhead. This book will discuss current industrial practices and emerging challenges at future technology nodes.


Subthreshold and Near-threshold Techniques for Ultra-low Power CMOS Design

Subthreshold and Near-threshold Techniques for Ultra-low Power CMOS Design
Author: James Anthony Kitchener
Publisher:
Total Pages: 194
Release: 2015
Genre: Low voltage integrated circuits
ISBN:

Download Subthreshold and Near-threshold Techniques for Ultra-low Power CMOS Design Book in PDF, ePub and Kindle

The miniaturisation of electronic circuits allows the potential for new applications, such as smart-dust or the Internet of Things. However, the design of batteries has not improved at the same rate as CMOS technology, so circuits need to be designed for improved energy efficiency to enable new form factors and applications. To address these issues, the use of subthreshold and near-threshold supply voltages is proposed. Throughout this thesis, the nature of what makes a design suitable for subthreshold use is examined. This work starts at the gate level, where the effects of transistor geometry and valency are examined. The levels of abstraction are progressively increased until high level architectures are considered, where quasi- delay-insensitive and globally-asynchronous locally-synchronous designs are argued as suitable for designing reliable systems. To assist in this, a methodology for partitioning systems into separate timing domains is proposed, and applied to published designs. The underlying theme throughout the exploration of subthreshold technology is the effects and mitigation of process and environmental variation, to which designs are increasingly susceptible as the supply voltage is lowered. This vulnerability affects all levels of design, from the widths of individual transistor to the choice of overall architectures, where a fundamental issue is the ability to determine when a unit of work has been performed. Not all applications respond well to the scaling of supply voltage. To address this, an alternative approach is considered where the system spends much of its lifetime in a powered-down state, being woken at appropriate intervals by a wakeup timer. As power consumption is a function of frequency, this timer seeks to achieve energy efficiency by maximising the period of oscillation. Despite the higher supply voltages considered, the themes of environmental and process variation continue, as the wakeup timers examined share similarities to subthreshold designs. Two of the proposed timers have been fabricated and are compared to simulated results and other published work.


Managing and Leveraging Variations and Noise in Nanometer CMOS

Managing and Leveraging Variations and Noise in Nanometer CMOS
Author: Vikram B. Suresh
Publisher:
Total Pages: 188
Release: 2015
Genre:
ISBN:

Download Managing and Leveraging Variations and Noise in Nanometer CMOS Book in PDF, ePub and Kindle

Advanced CMOS technologies have enabled high density designs at the cost of complex fabrication process. Variation in oxide thickness and Random Dopant Fluctuation (RDF) lead to variation in transistor threshold voltage Vth. Current photo-lithography process used for printing decreasing critical dimensions result in variation in transistor channel length and width. A related challenge in nanometer CMOS is that of on-chip random noise. With decreasing threshold voltage and operating voltage; and increasing operating temperature, CMOS devices are more sensitive to random on-chip noise in advanced technologies. In this thesis, we explore novel circuit techniques to manage the impact of process variation in nanometer CMOS technologies. We also analyze the impact of on-chip noise on CMOS circuits and propose techniques to leverage or manage impact of noise based on the application. True Random Number Generator (TRNG) is an interesting cryptographic primitive that leverages on-chip noise to generate random bits; however, it is highly sensitive to process variation. We explore novel metastability circuits to alleviate the impact of variations and at the same time leverage on-chip noise sources like Random Thermal Noise and Random Telegraph Noise (RTN) to generate high quality random bits. We develop stochastic models for metastability based TRNG circuits to analyze the impact of variation and noise. The stochastic models are used to analyze and compare low power, energy efficient and lightweight post-processing techniques targeted to low power applications like System on Chip (SoC) and RFID. We also propose variation aware circuit calibration techniques to increase reliability. We extended this technique to a more generic application of designing Post-Si Tunable (PST) clock buffers to increase parametric yield in the presence of process variation. Apart from one time variation due to fabrication process, transistors undergo constant change in threshold voltage due to aging/wear-out effects and RTN. Process variation affects conventional sensors and introduces inaccuracies during measurement. We present a lightweight wear-out sensor that is tolerant to process variation and provides a fine grained wear-out sensing. A similar circuit is designed to sense fluctuation in transistor threshold voltage due to RTN. Although thermal noise and RTN are leveraged in applications like TRNG, they affect the stability of sensitive circuits like Static Random Access Memory (SRAM). We analyze the impact of on-chip noise on Bit Error Rate (BER) and post-Si test coverage of SRAM cells.


The Integration of Nearthreshold and Subthreshold CMOS Logic for Energy Minimization

The Integration of Nearthreshold and Subthreshold CMOS Logic for Energy Minimization
Author: John Kevin Hicks
Publisher:
Total Pages: 178
Release: 2010
Genre: Energy conservation
ISBN:

Download The Integration of Nearthreshold and Subthreshold CMOS Logic for Energy Minimization Book in PDF, ePub and Kindle

"With the rapid growth in the use of portable electronic devices, more emphasis has recently been placed on low-energy circuit design. Digital subthreshold complementary metal-oxide-semiconductor (CMOS) circuit design is one area of study that offers significant energy reduction by operating at a supply voltage substantially lower than the threshold voltage of the transistor. However, these energy savings come at a critical cost to performance, restricting its use to severely energy-constrained applications such as microsensor nodes. In an effort to mitigate this performance degradation in low-energy designs, nearthreshold circuit design has been proposed and implemented in digital circuits such as Intel's energy-efficient hardware accelerator. The application spectrum of nearthreshold and subthreshold design could be broadened by integrating these cells into high-performance designs. This research focuses on the integration of characterized nearthreshold and subthreshold standard cells into high-performance functional modules. Within these functional modules, energy minimization is achieved while satisfying performance constraints by replacing non-critical path logic with nearthreshold and subthreshold logic cells. Specifically, the critical path method is used to bind the timing and energy constraints of the design. The design methodology was verified and tested with several benchmark circuits, including a cryptographic hash function, Skein. An average energy savings of 41.15% was observed at a circuit performance degradation factor of 10. The energy overhead of the level shifters accounted for at least 8.5% of the energy consumption of the optimized circuit, with an average energy overhead of 26.76%. A heuristic approach is developed for estimating the energy savings of the optimized design."--Abstract.