Low Power And Application Specific Sram Design For Energy Efficient Motion Estimation PDF Download

Are you looking for read ebook online? Search for your book and save it on your Kindle device, PC, phones or tablets. Download Low Power And Application Specific Sram Design For Energy Efficient Motion Estimation PDF full book. Access full book title Low Power And Application Specific Sram Design For Energy Efficient Motion Estimation.

Low-power and Application-specific SRAM Design for Energy-efficient Motion Estimation

Low-power and Application-specific SRAM Design for Energy-efficient Motion Estimation
Author: Mahmut Ersin Sinangil
Publisher:
Total Pages: 189
Release: 2012
Genre:
ISBN:

Download Low-power and Application-specific SRAM Design for Energy-efficient Motion Estimation Book in PDF, ePub and Kindle

Video content is expected to account for 70% of total mobile data traffic in 2015. High efficiency video coding, in this context, is crucial for lowering the transmission and storage costs for portable electronics. However, modern video coding standards impose a large hardware complexity. Hence, energy-efficiency of these hardware blocks is becoming more critical than ever before for mobile devices. SRAMs are critical components in almost all SoCs affecting the overall energy-efficiency. This thesis focuses on algorithm and architecture development as well as low-power and application-specific SRAM design targeting motion estimation. First, a motion estimation design is considered for the next generation video standard, HEVC. Hardware cost and coding efficiency trade-offs are quantified and an optimum design choice between hardware complexity and coding efficiency is proposed. Hardware-efficient search algorithm, shared search range across CU engines and pixel pre-fetching algorithms provide 4.3x area, 56x on-chip bandwidth and 151 x off-chip bandwidth reduction. Second, a highly-parallel motion estimation design targeting ultra-low voltage operation and supporting AVC/H.264 and VC-1 standards are considered. Hardware reconfigurability along with frame and macro-block parallel processing are implemented for this engine to maximize hardware sharing between multiple standards and to meet throughput constraints. Third, in the context of low-power SRAMs, a 6T and an 8T SRAM are designed in 28nm and 45nm CMOS technologies targeting low voltage operation. The 6T design achieves operation down to 0.6V and the 8T design achieves operation down to 0.5V providing ~ 2.8x and ~ 4.8x reduction in energy/access respectively. Finally, an application-specific SRAM design targeted for motion estimation is developed. Utilizing the correlation of pixel data to reduce bit-line switching activity, this SRAM achieves up to 1.9x energy savings compared to a similar conventional 8T design. These savings demonstrate that application-specific SRAM design can introduce a new dimension and can be combined with voltage scaling to maximize energy-efficiency.


ביקור חולים

ביקור חולים
Author:
Publisher:
Total Pages:
Release: 1984
Genre:
ISBN:

Download ביקור חולים Book in PDF, ePub and Kindle


Energy Efficient and Reliable Embedded Nanoscale SRAM Design

Energy Efficient and Reliable Embedded Nanoscale SRAM Design
Author: Bhupendra Singh Reniwal
Publisher: CRC Press
Total Pages: 221
Release: 2023-11-29
Genre: Technology & Engineering
ISBN: 100098513X

Download Energy Efficient and Reliable Embedded Nanoscale SRAM Design Book in PDF, ePub and Kindle

This reference text covers a wide spectrum for designing robust embedded memory and peripheral circuitry. It will serve as a useful text for senior undergraduate and graduate students and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. Discusses low-power design methodologies for static random-access memory (SRAM) Covers radiation-hardened SRAM design for aerospace applications Focuses on various reliability issues that are faced by submicron technologies Exhibits more stable memory topologies Nanoscale technologies unveiled significant challenges to the design of energy- efficient and reliable SRAMs. This reference text investigates the impact of process variation, leakage, aging, soft errors and related reliability issues in embedded memory and periphery circuitry. The text adopts a unique way to explain the SRAM bitcell, array design, and analysis of its design parameters to meet the sub-nano-regime challenges for complementary metal-oxide semiconductor devices. It comprehensively covers low- power-design methodologies for SRAM, exhibits more stable memory topologies, and radiation-hardened SRAM design for aerospace applications. Every chapter includes a glossary, highlights, a question bank, and problems. The text will serve as a useful text for senior undergraduate students, graduate students, and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. Discussing comprehensive studies of variability-induced failure mechanism in sense amplifiers and power, delay, and read yield trade-offs, this reference text will serve as a useful text for senior undergraduate, graduate students, and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. It covers the development of robust SRAMs, well suited for low-power multi-core processors for wireless sensors node, battery-operated portable devices, personal health care assistants, and smart Internet of Things applications.


Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits

Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits
Author: Nele Reynders
Publisher: Springer
Total Pages: 207
Release: 2015-04-14
Genre: Technology & Engineering
ISBN: 3319161369

Download Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits Book in PDF, ePub and Kindle

This book focuses on increasing the energy-efficiency of electronic devices so that portable applications can have a longer stand-alone time on the same battery. The authors explain the energy-efficiency benefits that ultra-low-voltage circuits provide and provide answers to tackle the challenges which ultra-low-voltage operation poses. An innovative design methodology is presented, verified, and validated by four prototypes in advanced CMOS technologies. These prototypes are shown to achieve high energy-efficiency through their successful functionality at ultra-low supply voltages.


Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies

Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies
Author: Morteza Nabavi
Publisher:
Total Pages: 92
Release: 2018
Genre: Integrated circuits
ISBN:

Download Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies Book in PDF, ePub and Kindle

Embedded SRAM circuits are vital components in a modern system on chip (SOC) that can occupy up to 90% of the total area. Therefore, SRAM circuits heavily affect SOC performance, reliability, and yield. In addition, most of the SRAM bitcells are in standby mode and significantly contribute to the total leakage current and leakage power consumption. The aggressive demand in portable devices and billions of connected sensor networks requires long battery life. Therefore, careful design of SRAM circuits with minimal power consumption is in high demand. Reducing the power consumption is mainly achieved by reducing the power supply voltage in the idle mode. However, simply reducing the supply voltage imposes practical limitations on SRAM circuits such as reduced static noise margin, poor write margin, reduced number of cells per bitline, and reduced bitline sensing margin that might cause read/write failures. In addition, the SRAM bitcell has contradictory requirements for read stability and writability. Improving the read stability can cause difficulties in a write operation or vice versa. In this thesis, various techniques for designing subthreshold energy-efficient SRAM circuits are proposed. The proposed techniques include improvement in read margin and write margin, speed improvement, energy consumption reduction, new bitcell architecture and utilizing programmable wordline boosting. A programmable wordline boosting technique is exploited on a conventional 6T SRAM bitcell to improve the operational speed. In addition, wordline boosting can reduce the supply voltage while maintaining the operational frequency. The reduction of the supply voltage allows the memory macro to operate with reduced power consumption. To verify the design, a 16-kb SRAM was fabricated using the TSMC 65 nm CMOS technology. Measurement results show that the maximum operational frequency increases up to 33.3% when wordline boosting is applied. Besides, the supply voltage can be reduced while maintaining the same frequency. This allows reducing the energy consumption to be reduced by 22.2%. The minimum energy consumption achieved is 0.536 fJ/b at 400 mV. Moreover, to improve the read margin, a 6T bitcell SRAM with a PMOS access transistor is proposed. Utilizing a PMOS access transistor results in lower zero level degradation, and hence higher read stability. In addition, the access transistor connected to the internal node holding V DD acts as a stabilizer and counterbalances the effect of zero level degradation. In order to improve the writability, wordline boosting is exploited. Wordline boosting also helps to compensate for the lower speed of the PMOS access transistor compared to a NMOS transistor. To verify our design, a 2kb SRAM is fabricated in the TSMC 65 nm CMOS technology. Measurement results show that the maximum operating frequency of the test chip is at 3.34 MHz at 290 mV. The minimum energy consumption is measured as 1.1 fJ/b at 400 mV.


Hardware/Software Architectures for Low-Power Embedded Multimedia Systems

Hardware/Software Architectures for Low-Power Embedded Multimedia Systems
Author: Muhammad Shafique
Publisher: Springer Science & Business Media
Total Pages: 240
Release: 2011-07-25
Genre: Technology & Engineering
ISBN: 1441996923

Download Hardware/Software Architectures for Low-Power Embedded Multimedia Systems Book in PDF, ePub and Kindle

This book presents techniques for energy reduction in adaptive embedded multimedia systems, based on dynamically reconfigurable processors. The approach described will enable designers to meet performance/area constraints, while minimizing video quality degradation, under various, run-time scenarios. Emphasis is placed on implementing power/energy reduction at various abstraction levels. To enable this, novel techniques for adaptive energy management at both processor architecture and application architecture levels are presented, such that both hardware and software adapt together, minimizing overall energy consumption under unpredictable, design-/compile-time scenarios.


Energy-efficient Static Random Access Memories Design in 28 Nanometer Fully Depleted Silicon On Insulator Technology

Energy-efficient Static Random Access Memories Design in 28 Nanometer Fully Depleted Silicon On Insulator Technology
Author: Avishek Biswas (S.M.)
Publisher:
Total Pages: 81
Release: 2014
Genre:
ISBN:

Download Energy-efficient Static Random Access Memories Design in 28 Nanometer Fully Depleted Silicon On Insulator Technology Book in PDF, ePub and Kindle

As CMOS scaling continues to sub-32nm regime, the effects of device variations become more prominent. This is very critical in SRAMs, which use very small transistor dimensions to achieve high memory density. The conventional 6T SRAM bit-cell, which provides the smallest cell-area, fails to operate at lower supply voltages (Vdd). This is due to the significant degradation of functional margins as the supply voltage is scaled down. However, Vdd scaling is crucial in reducing the energy consumption of SRAMs, which is a significant portion of the overall energy consumption in modern micro-processors. Energy savings in SRAM is particularly important for batteryoperated applications, which run from a very constrained power-budget. This thesis focuses on energy-efficient 6T SRAM design in a 28nm FDSOI technology. Significant savings in energy/access of the SRAM is achieved using two techniques: Vdd scaling and data prediction. A 200mV improvement in the minimum SRAM operating voltage (Vdd,min) is achieved by using dynamic forward body-biasing (FBB) on the NMOS devices of the bit-cell. The overhead of dynamic FBB is reduced by implementing it row-wise. Layout modifications are proposed to share the body terminals (n-wells) horizontally, along a row. Further savings in energy/access is achieved by incoporating data-prediction in the 6T read path, which reduces bitline switching. The proposed techniques are implemented for a 128Kb 6T SRAM, designed in a 28nm FDSOI technology. This thesis also presents a reconfigurable fully-integrated switched-capacitor based step-up DC-DC converter, which can be used to generate the body-bias voltage for a SRAM. 3 reconfigurable conversion ratios of 5/2, 2/1 and 3/2 are implemented in the converter. It provides a wide range of output voltage, 1.2V-2.4V, from a fixed input of 1V. The converter achieves a peak efficiency of 88%, using only on-chip MOS and MOM capacitors, for a high density implementation.


Energy Efficient Embedded Video Processing Systems

Energy Efficient Embedded Video Processing Systems
Author: Muhammad Usman Karim Khan
Publisher: Springer
Total Pages: 242
Release: 2017-09-17
Genre: Technology & Engineering
ISBN: 331961455X

Download Energy Efficient Embedded Video Processing Systems Book in PDF, ePub and Kindle

This book provides its readers with the means to implement energy-efficient video systems, by using different optimization approaches at multiple abstraction levels. The authors evaluate the complete video system with a motive to optimize its different software and hardware components in synergy, increase the throughput-per-watt, and address reliability issues. Subsequently, this book provides algorithmic and architectural enhancements, best practices and deployment models for new video systems, while considering new implementation paradigms of hardware accelerators, parallelism for heterogeneous multi- and many-core systems, and systems with long life-cycles. Particular emphasis is given to the current video encoding industry standard H.264/AVC, and one of the latest video encoders (High Efficiency Video Coding, HEVC).


Estimation-theoretic Framework for Robust and Energy-efficient System Design

Estimation-theoretic Framework for Robust and Energy-efficient System Design
Author: Sriram Narayanan
Publisher:
Total Pages:
Release: 2010
Genre:
ISBN:

Download Estimation-theoretic Framework for Robust and Energy-efficient System Design Book in PDF, ePub and Kindle

A fundamental hurdle to realizing the exciting future applications of embedded computing is lack of adequate power supply. Unlike the exponential growth in computing capability, the improvements in power sources have been lackluster. Technology scaling, driven by Moore's law, has produced smaller devices that can operate on lower supply voltages; but as a side effect, nanoscale devices are becoming increasingly unreliable. The resulting increase in transistor density further exacerbates the power problem. Therefore, the computing industry faces a pressing need to aggressively reduce power consumption and efficiently address error resiliency. Conventional approaches to error resiliency using redundant computations have incurred the associated overheads of power and silicon area. Traditional power reduction techniques scale supply voltage or clock frequency to adapt to changing demands of the application, while being limited to ranges where computation is free of error. Addressing in isolation the related problems of power reduction and error tolerance may fail to produce the gains required by future systems. It may be desirable to allow occasional hardware errors for the sake of power savings; however, this trade-off must be done without adversely impacting the end-user experience. Many applications in signal processing, communications, and multimedia already allow several forms of noise, such as additive environmental noise, interference, and quantization. This research views hardware error as a new source of noise that is analogous to traditional forms of noise. In so doing, it enables dynamically trading-off reliability for power savings while meeting application performance requirements. Our estimation-theoretic framework is a mathematical formalization that allows us to state system-on-chip (SoC) design problems as constrained optimization problems. The engineering constraints, such as hardware availability and cost, are explicitly captured as design constraints. By accounting for application-level performance requirements, the framework provides a notion of power, reliability, and performance optimality of the design. The mathematical abstraction of the framework results in different particular design techniques depending on the nature of the application. We have identified four classes on the basis of these design techniques, and described applications typical of each class. For parallel and heterogeneous systems, an estimation-theoretic redesign resulted in a 30%--40% power reduction in wireless and video systems. The application-awareness characteristic of estimation-theoretic SoC design can also be adopted in designing general-purpose processors. By exposing architectural diversity and controlled hardware errors in logic, the stochastic processor proposed here allows dynamic power reduction of about 20%--60% in the motion-estimation block of a video communication system. In addressing power/reliability problems of general parallel SoCs, we have also identified an important robust estimation problem that has remained largely unaddressed within the robust statistics community. To address this need, new methods for robust estimation with correlated observations were developed that could be applicable to more general estimation problems.


3D Video Coding for Embedded Devices

3D Video Coding for Embedded Devices
Author: Bruno Zatt
Publisher: Springer Science & Business Media
Total Pages: 219
Release: 2014-07-08
Genre: Technology & Engineering
ISBN: 1461467594

Download 3D Video Coding for Embedded Devices Book in PDF, ePub and Kindle

This book shows readers how to develop energy-efficient algorithms and hardware architectures to enable high-definition 3D video coding on resource-constrained embedded devices. Users of the Multiview Video Coding (MVC) standard face the challenge of exploiting its 3D video-specific coding tools for increasing compression efficiency at the cost of increasing computational complexity and, consequently, the energy consumption. This book enables readers to reduce the multiview video coding energy consumption through jointly considering the algorithmic and architectural levels. Coverage includes an introduction to 3D videos and an extensive discussion of the current state-of-the-art of 3D video coding, as well as energy-efficient algorithms for 3D video coding and energy-efficient hardware architecture for 3D video coding.