Characterization Of Chemical Interactions During Chemical Mechanical Polishing Cmp Of Copper PDF Download

Are you looking for read ebook online? Search for your book and save it on your Kindle device, PC, phones or tablets. Download Characterization Of Chemical Interactions During Chemical Mechanical Polishing Cmp Of Copper PDF full book. Access full book title Characterization Of Chemical Interactions During Chemical Mechanical Polishing Cmp Of Copper.

Synergy Between Chemical Dissolution and Mechanical Abrasion During Chemical Mechanical Polishing of Copper

Synergy Between Chemical Dissolution and Mechanical Abrasion During Chemical Mechanical Polishing of Copper
Author: Wei Che
Publisher:
Total Pages: 282
Release: 2005
Genre:
ISBN:

Download Synergy Between Chemical Dissolution and Mechanical Abrasion During Chemical Mechanical Polishing of Copper Book in PDF, ePub and Kindle

Chemical mechanical planarization (CMP) is becoming a promising mainstream semiconductor processing method because of its demonstrated capability to achieve better local and global planarization for various materials. However, the CMP process is influenced by a set of factors, which lead to a poor understanding of the material removal mechanisms (MRMs) and inhibits the migratability of the lab-scale experiments to industrial practice. This work focuses on the synergistic effects between chemical dissolution and mechanical abrasion to understand the MRMs during CMP. Initial in-situ wear test in chemically active slurry showed an increased material removal rate (MRR) relative to dry wear tests. To understand the synergistic effects, two plausible MRMs; (i) chemical dissolution enhanced mechanical abrasion and (ii) mechanical abrasion accelerated chemical dissolution, were investigated. In addition, a phenomenological MRR model based on scratch-intersections was formulated to understand the role of consumables and the process parameters. For mechanism I, a combined experimental and modeling technique was devised to understand the mechanical properties of the soft layer formed on the surface due to chemical exposure in CMP. The developed approaches utilized nano-scratch tests, nano-dynamic mechanical analysis (DMA) tests, the limit analysis solution of surface plowing under a spherical traveling indenter, and finite element simulation to deconvolute the soft layer thickness, hardness and elastic modulus. For mechanism II, it is found that the residual stress caused by the mechanical wear enhances the chemical etching rate, as manifested by an increase in wear depth. It is also found that the roughness with wavelength above a critical value grows while roughness of lower wavelength decays during etching, in which an established fact for stress-enhanced chemical dissolution is used. The developed understanding would enable understanding the root causes of defect generation mechanism and render remedies for yield improvements. The proposed models, through their mechanistic description, will facilitate an exploration of the design space and identification of realistic CMP process domains, including: (i) particle shape, size and concentration; (ii) adapting slurry chemistry for required rates of chemical dissolution and mechanical abrasion; and (iii) selecting pads with the proper surface morphology and stiffness.


Advances in Chemical Mechanical Planarization (CMP)

Advances in Chemical Mechanical Planarization (CMP)
Author: Babu Suryadevara
Publisher: Woodhead Publishing
Total Pages: 650
Release: 2021-09-10
Genre: Technology & Engineering
ISBN: 0128218193

Download Advances in Chemical Mechanical Planarization (CMP) Book in PDF, ePub and Kindle

Advances in Chemical Mechanical Planarization (CMP), Second Edition provides the latest information on a mainstream process that is critical for high-volume, high-yield semiconductor manufacturing, and even more so as device dimensions continue to shrink. The second edition includes the recent advances of CMP and its emerging materials, methods, and applications, including coverage of post-CMP cleaning challenges and tribology of CMP. This important book offers a systematic review of fundamentals and advances in the area. Part one covers CMP of dielectric and metal films, with chapters focusing on the use of current and emerging techniques and processes and on CMP of various materials, including ultra low-k materials and high-mobility channel materials, and ending with a chapter reviewing the environmental impacts of CMP processes. New content addressed includes CMP challenges with tungsten, cobalt, and ruthenium as interconnect and barrier films, consumables for ultralow topography and CMP for memory devices. Part two addresses consumables and process control for improved CMP and includes chapters on CMP pads, diamond disc pad conditioning, the use of FTIR spectroscopy for characterization of surface processes and approaches for defection characterization, mitigation, and reduction. Advances in Chemical Mechanical Planarization (CMP), Second Edition is an invaluable resource and key reference for materials scientists and engineers in academia and R&D. Reviews the most relevant techniques and processes for CMP of dielectric and metal films Includes chapters devoted to CMP for current and emerging materials Addresses consumables and process control for improved CMP, including post-CMP


Characterization and Modeling of Mainstream and Alternative Conditioning and Polishing Technologies in Inter-Layer Dielectric and Copper Chemical Mechanical Planarization

Characterization and Modeling of Mainstream and Alternative Conditioning and Polishing Technologies in Inter-Layer Dielectric and Copper Chemical Mechanical Planarization
Author: Hyosang Lee
Publisher:
Total Pages: 536
Release: 2008
Genre:
ISBN:

Download Characterization and Modeling of Mainstream and Alternative Conditioning and Polishing Technologies in Inter-Layer Dielectric and Copper Chemical Mechanical Planarization Book in PDF, ePub and Kindle

This dissertation consists of four topics that focused on investigating the fundamental characteristics of chemical mechanical planarization (CMP) processes. These are alternative and conventional pad conditioning technologies, inhibitor characteristics of slurry additives, and pad stains in copper CMP. A high pressure micro jet (HPMJ) technology was used to investigate pad conditioning and evaluated as an alternative to conventional diamond disc pad conditioning in copper and inter-layer dielectric CMP. Results showed that the HPMJ pad conditioning system had the potential of removing slurry residues and polish by-products inside pad grooves and pores on the pad surface, thus leading to improved pad life and reduced wafer-level defects. In addition, a proposed conditioning scheme, namely a combination of diamond and HPMJ pad conditioning, allowed for stable polish results in terms of removal rate and coefficient of friction (COF). The theoretical and experimental investigation of conventional diamond disc pad conditioning was performed to explore the effects of conditioner design factors on removal rate and COF in copper CMP. In this study, conditioning affected pad surface topography and was also capable of modifying the removal rate of copper by changing the COF and the reaction temperature. Both theory and experimental results showed that friction and removal rate should both decrease as the conditioned surface became less abrupt. Ammonium dodecyl sulfate (ADS), an environmentally friendly surfactant, was evaluated as an alternative inhibitor to benzotriazole (BTA) in copper CMP. Results demonstrated that the inhibition efficiencyof ADS was superior to that of BTA in terms of coefficient of friction (COF), removal rate and temperature. Spectral analysis of shear force showed the extent of the pre-existing stick-slip phenomena caused by the kinematics of the process and collision event between pad asperities with the wafer. The characterization of experimental and numerical formation of pad staining was investigated. Pad staining was a result of material removal and it increased with polishing pressure, wafer rotation rate and polishing time. Experimental results also indicated that pad staining had no significant effect on removal rate. The experimental and simulated pad staining images demonstrated that polish by-products were advected downstream with the slurry flow, and deposited on the pad surface.


Physicochemical Modeling of Copper Chemical Mechanical Planarization (CMP) Considering Synergies in Removal Materials

Physicochemical Modeling of Copper Chemical Mechanical Planarization (CMP) Considering Synergies in Removal Materials
Author: Seungchoun Choi
Publisher:
Total Pages: 166
Release: 2013
Genre:
ISBN:

Download Physicochemical Modeling of Copper Chemical Mechanical Planarization (CMP) Considering Synergies in Removal Materials Book in PDF, ePub and Kindle

With stringent requirements of copper chemical mechanical planarization (CMP), such as minimized step heights, enhanced uniformity and minimal defects, the CMP process needs to be improved based on a fundamental understanding of the material removal mechanisms. Also, with the stringent requirements, the problems in copper CMP process cannot be resolved solely improving the process itself; rather, systemic understanding of the entire manufacturing processes is necessary, demanding a robust copper CMP model to be implemented to design for manufacturing (DfM) tools. Previous models heavily relied on Preston's equation (), which needs to be calibrated for every new set of processing parameters, slowing down the process development. Previous models focused on limited interactions of the consumables and the workpiece during copper CMP, being insufficient at capturing the synergies between chemical and mechanical aspects of copper CMP. Therefore, a quantitative and physicochemical model of copper CMP that predicts material removal rate (MRR) was proposed while focusing on the interplay of consumables and copper and the synergies between chemical and mechanical aspects of the process. While considering the synergies, two mechanisms of the material removal during copper CMP were suggested: chemically dominant and mechanically dominant mechanisms. The total MRR during copper CMP was determined by summing those two contributions. The chemically dominant mechanism attributed the material removal during copper CMP to the removal of the protective material formed on the surface of copper and to the chemical dissolution of copper from the surface both at regions occupied and not occupied by the protective material with different rates. The kinetics of the formation of the protective material at the millisecond scale were studied through electrochemical experiments and theoretical analysis where a governing equation for the adsorption of benzotriazole (BTA) was constructed and solved. It was found that the grown protective material (CuBTA) during copper CMP was only a fraction of a monolayer partly occupying the surface of a wafer. This was because the time allowed for the adsorption of BTA on the surface of copper was limited by the time between consecutive asperity and copper interactions, which was only of the order of one millisecond. The formation and the removal of the protective material were assumed to be balanced during CMP, yielding a constant chemically dominant MRR. The removal of the protective material by abrasion with abrasive particles was investigated by in situ electrochemical measurement during polishing. The removal efficiency of a pad asperity where abrasive particles are embedded was evaluated from the measurements and was compared with the theoretical analysis. It showed a good agreement and suggested that the copper during CMP is mostly deformed elastically by the abrasive particles. The influence of the concentration of copper ions on the kinetics of the formation of the protective material was also investigated using potential-step chronoamperometry using two types of copper microelectrode, namely a three dimensional and a planar electrode. The amount of copper ion may easily build up to a level that exceeds the solubility product of Cu(II)BTA2. Under these conditions, Cu(II)BTA2 can nucleate, consuming the protective material formed on the surface of copper. This phenomenon is highly undesirable as it increases the dissolution rates at the regions where the protective material is removed, worsening the topography after copper CMP. The mechanically dominant MRR was determined from the volume of a wafer that is plastically deformed by indentation of abrasives that are squeezed between pad asperities and the wafer. The shear stress induced in copper by the force applied on an abrasive is lower than the ideal shear strength of copper, which is the relevant property for plasticity at this length scale. However, the crystallographic defects in the copper crystal may reduce the hardness of the material, allowing the material to be plastically deformed. Especially the roughness of the surface induced by chemical additives in the slurry greatly reduces the resistance to plastic deformation of copper. Because of the localized spatial distribution of those crystallographic defects the plastic deformation occurs only locally. Also, only a part of the plastically deformed material will be detached from the surface, contributing to the MRR. While applying this mechanism, the discrepancy of the MRR behavior with varying size and concentration of abrasives between the prediction and the experimental observations was resolved by proposing a new mechanism that determines the number of abrasives participating in the abrasion of the material. The transport mechanisms of abrasive particles toward a wafer and the electrostatic interactions between abrasives were considered to affect the number of abrasive particles deposited on the surface of a wafer. If the deposition of abrasives on the surface of a wafer is limited by the diffusion of abrasives, the MRR decreases with the size of the abrasives. In contrast, the MRR increases with the size of abrasives if the deposition of the abrasives is limited by the jamming limit of the deposited abrasives at the surface of the wafer. Also, micrometer sized abrasives increases the MRR when the size is increased because the deposition of abrasives is limited by the interception mechanism of the abrasives. The proposed model successfully captured the synergies between chemical and mechanical aspects and quantitatively predicted the MRR during copper CMP. In the future, the model will be applied to predict the pattern dependent variability of topography of a wafer after CMP. The proposed model quantitatively predicts the local MRR of copper. Along with a robust model for dielectric and barrier materials, the model can predict the topography after CMP, contributing to the optimization of the CMP process.


Chemical-Mechanical Planarization: Volume 867

Chemical-Mechanical Planarization: Volume 867
Author: A. Kumar
Publisher:
Total Pages: 330
Release: 2005-07-19
Genre: Technology & Engineering
ISBN:

Download Chemical-Mechanical Planarization: Volume 867 Book in PDF, ePub and Kindle

Technology requirements associated with the progressive scaling of devices for future technology nodes, coupled with the aggressive introduction of new materials, places tremendous demands on chemical-mechanical polishing. The goal of this 2005 book, which is part of a popular series from MRS, is to bring together experts from a broad spectrum of research and technology groups currently working on CMP, to review advances made, and to offer a comprehensive discussion of future challenges that must be overcome. The book shows trends in the development of consumables, process modules, tool designs, process integration, modeling, defect characterization, and metrology. Topics include: planarization processes and applications; consumables -CMP pads and slurries; CMP equipment and metrology; and CMP modeling and simulation.


Research on Chemical Mechanical Polishing Mechanism of Novel Diffusion Barrier Ru for Cu Interconnect

Research on Chemical Mechanical Polishing Mechanism of Novel Diffusion Barrier Ru for Cu Interconnect
Author: Jie Cheng
Publisher: Springer
Total Pages: 148
Release: 2017-09-06
Genre: Technology & Engineering
ISBN: 9811061653

Download Research on Chemical Mechanical Polishing Mechanism of Novel Diffusion Barrier Ru for Cu Interconnect Book in PDF, ePub and Kindle

This thesis addresses selected unsolved problems in the chemical mechanical polishing process (CMP) for integrated circuits using ruthenium (Ru) as a novel barrier layer material. Pursuing a systematic approach to resolve the remaining critical issues in the CMP, it first investigates the tribocorrosion properties and the material removal mechanisms of copper (Cu) and Ru in KIO4-based slurry. The thesis subsequently studies Cu/Ru galvanic corrosion from a new micro and in-situ perspective, and on this basis, seeks ways to mitigate corrosion using different slurry additives. The findings presented here constitute a significant advance in fundamental and technical investigations into the CMP, while also laying the groundwork for future research.


Chemical Mechanical Planarization VI

Chemical Mechanical Planarization VI
Author: Sudipta Seal
Publisher: The Electrochemical Society
Total Pages: 370
Release: 2003
Genre: Technology & Engineering
ISBN: 9781566774048

Download Chemical Mechanical Planarization VI Book in PDF, ePub and Kindle


Chemical-Mechanical Polishing 2001 - Advances and Future Challenges:

Chemical-Mechanical Polishing 2001 - Advances and Future Challenges:
Author: Suryadevara V. Babu
Publisher: Cambridge University Press
Total Pages: 306
Release: 2014-06-05
Genre: Technology & Engineering
ISBN: 9781107412187

Download Chemical-Mechanical Polishing 2001 - Advances and Future Challenges: Book in PDF, ePub and Kindle

With copper and barrier-layer integration firmly in place, several other exciting developments are occurring in the practice of chemical-mechanical polishing (CMP), and many advances are described in this book, first published in 2001. Discussions on CMP for shallow-trench isolation, abrasive-free slurries, improvements in pad and tool configurations including fixed abrasive pads, 'engineered' particles, effects of nanotopography, end-point studies, defect characterization and novel post-CMP cleaning methods are highlighted. Considerable progress has also been reported in modeling the complicated interactions that occur between the wafer surface and the pad and the slurry, whether containing abrasives or abrasive-free, and their influence on dishing and erosion and nonuniformity. These studies offer valuable insights for process improvements and yet many challenges remain and will provide a high level of interest for future books. Topics include: recent developments - pads and related issues; CMP abrasives; copper CMP/STI and planarization; STI and planarization - wear-rate models; low-k and integration issues - particle and process effects in CMP and issues in CMP cleaning.